ASIC vs. FPGA: What to Consider for Your Next Design Project

ASIC vs. FPGA: What to Consider For Your Next Design Project

ASICs and FPGAs are both types of microchips you may find yourself weighing as options for an electronic product design.

To make an informed choice, you have to understand the differences between them. We’re going to explain how they differ in detail and look at the advantages and disadvantages of each so you know how to make a choice.

Ultimately, ASICs — especially when you need a full or semi-custom design — offer some important advantages that make them the right choice in many situations.

What Is the Difference Between ASICs and FPGAs?

Five Differences between ASICs and FPGAs: Volume, Customizations, Time to Market, Efficiency, & Budget

Let’s start with the basics.

Even if you’re new to the field of very large-scale integration (VLSI), the primary difference between ASICs and FPGAs is fairly straightforward.

An ASIC is designed for a specific application while an FPGA is a multipurpose microchip you can reprogram for multiple applications. We’ll unpack this key differentiator more in the following sections.

Considering the differences between these integrated circuits is essential when you’re working on a new ic chip design product because the difference can help you determine why it makes sense to choose one over the other in certain situations.

The following factors are all important to consider and can steer you toward the option that makes the most sense for your application:

  • Volume: The quantity of your production run is an important factor since this determines which type of microchip will be more cost-effective. ASICs are the better option for any large production runs.
  • Customization and integration: One of the main distinctions between ASICs and FPGAs is the level of customization they offer. If you need an integrated circuit that is tailored perfectly to your application, you should choose an ASIC.
  • Efficiency: Another point of distinction is the level of efficiency ASICs and FPGAs offer. ASICs are notably more efficient than their multipurpose counterparts.
  • Budget and time to market: The amount you’re able to spend on development and production and the timetable you’re working with will also influence which type of microchip you should choose. ASICs tend to be more cost-effective in large quantities, though they require a higher initial cost and larger time investment for design.

We’ll explain each of these factors more below to cover how ASICs and FPGAs compare.

What Is an ASIC?

ASIC in VLSI stands for application-specific integrated circuit. This integrated circuit is aptly named since an ASIC microchip is designed and manufactured for one specific application and does not allow you to reprogram or modify it after it is produced. This means ASICs are not intended for general use. You must have ASICs created to your specifications for your product.

Types of ASICs

ASICs come in a few different types, including gate array, standard cell and custom designs. These types are differentiated from each other by the level of customization they offer during the design process.

How does an ASIC work?

The answer depends on the type of ASIC:

  • Gate array ASICs: Gate array ASICs offer the lowest level of customization. These ASICs start out with standard, predefined silicon layers. The only opportunity for customization is through manipulating the interconnections between transistors in the metallization stage of manufacturing. By opening and closing certain switches, you can achieve the desired function. However, gate array ASICs are limited in the functions they can perform.
  • Standard cell ASICs: Standard cell ASICs are semi-customizable to a greater degree than gate array ASICs. In standard cell ASICs, the silicon layers are comprised of library components, also called functional standard blocks. You can customize mask layers to match your specific function.
  • Full custom design ASICs: Fully custom ASIC designs allow you to create your ASIC from scratch, down to the transistor level, so you can make it to your exact specifications. This is extremely valuable for applications where standardized options are too limiting.

What Are ASICs Used For?

ASIC chip technology has a wide array of valuable applications. Generally, engineers use ASICs in products that are intended for permanent applications since they aren’t designed to be modified. This includes electronic devices like smartphones, computers, voice recorders, and TVs, for example. There is virtually no limit to the types of applications for specific integrated circuits.

Contact Us

What Is an FPGA?

FPGA stands for field programmable gate array. These chips are manufactured for general use with configurable logic blocks (CLBs) and programmable interconnects. This means you can program and reprogram FPGAs to perform numerous functions after they have left the manufacturer and are being used in the field. You can program some FPGAs one time, while others can be reprogrammed as many times as needed.

Types of FPGAs

There are two main ways you can classify FPGAs: by their internal arrangement of blocks and by their programming technology type. In terms of their arrangement, FPGAs fall into one of these three categories:

  • Symmetrical arrays: This arrangement is made up of rows and columns of connected logic blocks, surrounded by Input/Output blocks.
  • Row-based architecture: This arrangement alternates rows of logic blocks and programmable interconnect resources, with Input/Output blocks along the edges.
  • Hierarchical PLDs: Hierarchical PLDs have a more complex layout. The top level is comprised of logic blocks and interconnects. The logic blocks contain logic modules, which have combinatorial and sequential functional elements.

There are also three categories of programming technology types for FPGAs:

  • SRAM-based FPGAs: Static RAM cells must be configured each time they start since they lose data when cut off from a power source. In other words, SRAM-based FPGAs require some type of external memory unless they include internal flash memory blocks.
  • Antifuse-based FPGAs: These FPGAs can only be programmed one time. Once they are programmed initially, you cannot reprogram them because you can’t return a burned antifuse to its original state. An advantage of antifuse-based FPGAs is that they retain their program even when there is no power.
  • Flash-based FPGAs: Flash-based FPGAs use flash for storage so they retain data when powered down. This eliminates the need for external memory. The number of times you can reprogram these FPGAs is limited due to charge buildup in the oxide.

What Is an FPGA Used For?

FPGAs are useful for a range of applications. Many engineers use FPGAs in prototypes when designing a product, but once the product design is complete and ready for mass production, they switch to ASICs designed for their application. FPGAs are also useful for applications that require ongoing flexibility, such as safety applications in vehicles or image processing in security applications.

ASIC vs. FPGA: Which One Should You Choose?

ASIC vs. FPGA: Which One Should You Choose?

The real question for most people researching ASIC vs. FPGA is, which is better? The short answer is that it depends. Generally speaking, both of these integrated circuit types are good options to consider, but ASICs offer some distinct advantages for any products that need specificity or require a significant volume.

There are several factors we consider when we compare ASIC and FPGA technology, including the following key points:

1. Design Flow

The significant difference between ASIC and FPGA design flow is that the design flow for ASICs is a far more complex and rigorous design-intensive process. It involves about seven different stages, from system specification to tape out for fabrication. Of course, the end result should be a highly specialized, efficient and more cost-effective chip for your product, but it does require an upfront investment of time. The design flow for FPGAs is simpler and faster, allowing you to get to market faster.

2. Flexibility

In terms of flexibility, an FPGA may be a better option for some because it can be reprogrammed. However, because FPGAs are limited in the functions they can be reprogrammed for, ASICs are generally the more flexible choice. Though you can’t reprogram ASICs, you can create one that performs a specialized function.

3. Performance and Efficiency

ASICs offer superior performance and are more efficient than FPGAs. Factors like faster speed and the ability to layer multiple functionalities onto a single chip make ASICs outperform FPGAs.

4. Cost and Time to Market

ASICs have a higher non-recurring engineering cost (NRE) than FPGAs. However, the cost per unit tends to be lower for ASICs than for FPGAs. The higher cost of FPGAs may not be a major factor for small production runs or prototypes, but for large production runs where you are looking to create 100,000 or even one million parts, ASICs tend to be considerably more cost-effective. While ASICs typically have a higher NRE cost and lower production unit cost, the time to market is typically longer than an FPGA. The larger the production run, the bigger your ROI will be if you choose an ASIC over an FPGA.

5. Power Consumption

Power consumption is an especially important point of comparison when you’re designing a battery-powered device. ASICs consume significantly less power than FPGAs. As high-power consuming chips, FPGAs can make a poor choice for battery-operated products.

Learn More

The Benefits of Converting an FPGA Design to ASIC

3 Benefits of Choosing an ASIC Over an FPGA

After comparing the pros and cons of field-programmable gate array (FPGAs) and application-specific integrated circuits (ASICs), it’s clear why FPGAs may be a better choice in some instances.

However, in many scenarios, it is advantageous to choose and ASIC design over an FPGA depending on your design objectives.

Some of the primary benefits you can experience when you choose an ASIC design are related to production volume, cost-effectiveness and efficiency needs.

1. Save on Overall Costs in the Long-Term

ASICs cost more to design, which can steer you toward FPGAs if you want to avoid those upfront costs. However, there is a cost-benefit of using an ASIC vs. FPGA. In the long run, ASICs can be a more cost-effective choice because you don’t have to pay for functionality you don’t need. Instead, you create an integrated circuit that performs the function you need and nothing else.

These units can come with a lower price tag than FPGAs, which means at a certain point, your investment into NRE costs will pay off and you’ll experience a great return on your investment with lower production costs.

2. Benefit From Higher Production Volumes

FPGAs are a popular choice when you’re experimenting and creating prototypes or when you need a quick and easy solution for small production runs. But ASICs are preferable for high-volume production runs. The higher the volume, the better return you’ll get on your investment into NRE costs. This is because the cost savings per unit will eventually cover the added NRE costs. This is the point at which you break even on your investment, and any units produced past this point will rack up significant savings for your company.

If you’re producing tens of thousands or hundreds of thousands of units, for example, you should invest in ASICs for your product. Even if you started with a small run of a product using FPGAs, you may want to switch to ASICs if you plan to increase your production volume.

If you plan to produce a large volume of products, the investment of time and finances of using an ASIC for your product makes sense.

3. Achieve a Higher Level of Efficiency and Performance

When you choose FPGAs, you sacrifice efficiency for versatility. This is a poor trade-off if you don’t need that versatility. Why is an ASIC more efficient than an FPGA? The answer is simple: ASICs are designed for a specific purpose rather than for general-purpose use and programmability, which means you can eliminate wasted space, power and functionality.

An ASIC will have the exact number of gates needed for its intended application, no more and no less.

This means if you need your microchip to carry out a specific function, you’re better off to design an ASIC to perform these functions. The result will be a more efficient and ultimately higher-performing microchip that eliminates wasted energy and space. Especially when it comes to fully customized ASICs, these chips can contain a large percentage of the electronics for a product all on one integrated circuit.

Contact Us

When Would You Use an FPGA?

While ASICs offer some notable advantages, there are times when engineers prefer to use FPGAs. These microchips offer a more ready-made solution if you’re not looking to do a large production run.

You may want to choose an FPGA if you:

  • Need the ability to reprogram the chip in the future: If the ability to reprogram a chip is the key feature you need for your application over other features like efficiency, then you may need to use an FPGA.
  • Want to create prototypes before moving to mass manufacturing: Even if you’re planning to create ASICs for your finished design, you may want to use FPGAs in prototypes until you have finalized your design and know exactly what functions you want to include in your ASICs.
  • Need a ready-made option for a faster time to market: ASIC design flow is a more involved process and takes longer. Therefore, some people may opt for ready-made FPGA chips so they can move to market faster. However, developing an ASIC is well worth the upfront investment of time to ensure your product is optimized from the start with electronics that do exactly what they need to do.

Contact ASIC North to Develop Your Next ASIC Product

Choose ASIC North to Develop Your Next ASIC Product

If you would benefit from using ASICs in your next product but you’re unsure where to start, ASIC North can help. The experts at ASIC North are equipped to make the necessary trade-offs in order to optimize design area, time to market, power, performance, cost and reliability of your product. We offer design services for full and semi-custom designs and analog, digital and mixed-signal designs.

To fully cash in on the benefits of using ASICs, you need to partner with the right design and production team who can help you realize your vision. ASIC North leverages expert engineering and project management skills to assist with every level of the design process or, if you prefer, only the parts of the design process you need help with. Once the design is complete, we can execute your design to produce an ASIC product that is optimized for your application.

Contact ASIC North today for a free development quote for your next project.

share: